О группе

Периодически, при наличии большого количества свободного времени, накапливается материал на одну или несколько глав некоего текста из области ЦОС или ПЛИС. ПЛИС рассматривается не в ракурсе макетирования СБИС для производства, но и не в плане реконфигурируемых коммерческих систем. Назначение ПЛИС для обучения — в возможности практического наблюдения за поведением тех или иных схем или алгоритмов. Многие из этих текстов — переводные статьи из западных источников, которые еще ни разу не переводились на русский язык или материалы из них. После прохождения вебинара на тему правил оформления научных работ есть стремление этот материал научиться подавать правильно и структурировано, без потери актуальности и результата исследования. В таком ключе уже оформляются публикуемые тексты.
Эта группа создается для ознакомления круга интересующихся лиц с содержанием этих текстовых материалов. Материалы уже присутствуют на scipeople в загруженном виде, но доступность их для кого-либо под большим вопросом, т.к., при открытии профайла, в меню загрузки не появляются. Приглашаю интересующихся темой присоединиться к исследовательской группе и смело высказывать свое мнение по поводу загружаемых материалов.

R Dsp_fpga

Laboratory Exercise 12
Basic Digital Signal Processing
This is an exercise in using the audio coder/decoder (CODEC) on the Intel DE1-SoC or DE2-115 board. The
exercise involves connecting a microphone to the audio CODEC to provide input sound, altering the received
sound by filtering out noise, and then playing the resulting sound through speakers/headphones. In addition to a
DE-series board, a microphone and speakers or headphones are required.
Background
Sounds, such as speech and music, are signals that change over time. The amplitude of a signal determines the
volume at which we hear it. The way the signal changes over time determines the type of sounds we hear. For
example, an ’ah’ sound is represented by a...
Т.к. основным направлением научного исследования было выбрано использование ПЛИС в некотором устройстве, подобии лабораторного стенда, то желательно тщательно сформулировать как саму тему, так и актуальность и новизну этого материала. Однако здесь сталкиваемся с некоторыми проблемными местами.
Во-первых, берем за тему научного исследования и эксперимента именно ПЛИС, т. к. она обладает важными преимуществами по сравнению с...
 

Как и многие другие алгоритмы ЦОС, алгоритм Малла можно разделить на вейвлет-анализ,
т. е. разложение по базисам φ jk и ψ jk и вейвлет-синтез. Анализ:
Sk
j=Σ
n∈ℤ
h( n−2 k )⋅Sn
( j+1)
dk j =Σ
n∈ℤ
g(n−2k )⋅Sn ( j+1)
n= 0, N −1, j=−1 ,−2,. ..
Синтез:
Sn ( j+1)=Σ
k∈ℤ
h(n−2 k )⋅Sk j +Σ
k∈ℤ
g(n−2 k )⋅d k
j
n= 0, N −1, j=...−2 ,−1
Здесь {hk },{gk} — коэффициенты фильтров, т. е. Разные сигналы могут быть...
 
 
Твердотельная электроника, радиоэлектронные компоненты, микро- и наноэлектроника, приборы на квантовых эффектах
вверх    домой

паспорт

 

Шифр специальности:
05.27.01  Твердотельная электроника, радиоэлектронные компоненты, микро- и нано-электроника, приборы на квантовых эффектах
Формула специальности:
Твердотельная электроника, радиоэлектронные компоненты, микро- и...
 
Любое двух- или даже трех- мерное отображение в видимом человеческому глазу образе окружающего мира может позволить визуально опознать, в какой-то мере отображенное пространство. Правда эта визуальная оценка будет далека от точной модели реальности с точными параметрами и прогнозом изменения во времени всех деталей, основывающимся на некоторых статистических данных, сохраненных на носителе информации. Конечно, существуют...
Если на FPGA test board мы реализовали микропроцессорное или микроконтроллерное ядро, например, Microblaze, то можем использовать SDK, чтобы запустить программу. Программа на ЯВУ будет записана в микропроцессорное ядро, используя интерфейс FPGA test board. Для этого тестовая плата ПЛИС соединяется с ПК через USB иустанавливается драйвер. Для этого в меню SDK просто выбирается нужный COM-порт и его характеристики, например, скорость передачи. Главной...
Метод поэтапного преобразования алгоритма ЦОС из математического представления в схемное решение на VHDL через моделирование в Матлаб.
 
План
1) Возьмем для примера ДПФ из статьи Серга Келлиса
2) Рассмотрим создание модели и схемы для алгоритма Малла и их реализацию в Xilinx Vivaldo.
3) Сформулируем общие принципы. Например, какие блоки математического аппарата лучше всего представляются в виде шагов алгоритма, а какие...
Требования по содержанию и оформлению статей для публикации в зарубеж-
Язык публикации
статьи
ных научных журналах SCOPUS
Английский
Тип статьи Обзорная или экспериментальная
Общий объем ста-
тьи (включая заго-
ловок, аннотация,
ключевые слова,
текст, литература)
Минимальный объем — 9 000 знаков с пробелами. Максимальный объем
при типе публикации: — не более 23 000 знаков с пробелами.
...
ПОЛОЖЕНИЕ О ПРИСУЖДЕНИИ УЧЕНЫХ СТЕПЕНЕЙ
 
I. Общие положения
 
1. Настоящее Положение устанавливает порядок присуждения ученой степени кандидата наук и ученой степени доктора наук (далее — ученые степени), критерии, которым должны отвечать диссертации на соискание ученых степеней (далее — диссертации), порядок представления, защиты диссертаций, порядок лишения, восстановления ученых степеней, рассмотрения...
ПРАВИТЕЛЬСТВО РОССИЙСКОЙ ФЕДЕРАЦИИ
 
ПОСТАНОВЛЕНИЕ
от 24 сентября 2013 г. N 842
 
О ПОРЯДКЕ ПРИСУЖДЕНИЯ УЧЕНЫХ СТЕПЕНЕЙ
 
В соответствии со статьей 4 Федерального закона «О науке и государственной научно-технической политике» Правительство Российской Федерации постановляет:
1. Утвердить прилагаемое Положениео присуждении ученых степеней.
2. Установить, что:
к соисканию ученой степени...